设为首页收藏本站|繁體中文 快速切换版块

 找回密码
 立即加入
搜索
查看: 1190|回复: 0

[讨论] 关于仿真结果滞后怎么解决

[复制链接]

该用户从未签到

尚未签到

发表于 2011-4-5 15:48:45 | 显示全部楼层 |阅读模式

马上加入,结交更多好友,共享更多资料,让你轻松玩转电力研学社区!

您需要 登录 才可以下载或查看,没有账号?立即加入

×
我自己做了两个模块,其中一个模块的输出量作为下一个模块的输入量,但是从仿真结果发现,下一个模块的输出结果与输入量的仿真记过差了一个仿真步长,我理解是下一个模块的输出值比输入值滞后了一个步长。
: W8 ^4 T; v, w请问这是什么原因?怎么解决?
"真诚赞赏,手留余香"
还没有人打赏,支持一下
楼主热帖
帖文化:【文明发帖 和谐互动】 社区精神:【创新、交流、互助、共享】
您需要登录后才可以回帖 登录 | 立即加入

本版积分规则

招聘斑竹

小黑屋|手机版|APP下载(beta)|Archiver|电力研学网 ( 赣ICP备12000811号-1|赣公网安备36040302000210号 )|网站地图

GMT+8, 2024-4-28 19:18

Powered by Discuz! X3.5 Licensed

© 2001-2024 Discuz! Team.

快速回复 返回顶部 返回列表