设为首页收藏本站|繁體中文 快速切换版块

 找回密码
 立即加入
搜索
查看: 1606|回复: 0

Ansoft SIMPLORER 7.0.5 复杂系统仿真软件包

[复制链接]

该用户从未签到

尚未签到

发表于 2008-2-19 22:12:42 | 显示全部楼层 |阅读模式
文档文案
文档文件名称: 复杂系统仿真软件包
内容简介: 复杂系统仿真软件包
所属专业方向: 电子
文件类型: 电子电力
来源: 原创

马上加入,结交更多好友,共享更多资料,让你轻松玩转电力研学社区!

您需要 登录 才可以下载或查看,没有账号?立即加入

×
Ansoft SIMPLORER 7.0.5 复杂系统仿真软件包
! C) i( f9 P1 E% M4 z; H* u软件大小:773 MB   f- L" H3 E2 d0 p
软件语言:英文
- d( H4 @1 l; J2 i: }$ V8 K$ O软件类型:国外软件/商业软件 3 A0 a0 _8 h1 k: J
运行环境:/WinNT/2000/XP
8 Z+ {4 y7 a$ y" c) J/ h2 S) t- ^4 |8 R7 Y, Q. t: e9 I8 `7 Z
=============================================================
6 j1 ~( w3 J+ U2 N& X5 A收取一定费用后给您提供CAD软件下载,所有软件可远程测试,试看。
0 L" Z2 q7 b& t
1 X. D' i! l. ]QQ:394623568   
- B% W5 k- c8 s0 qMSN: caxcai@hotmail.com ( `2 Z" O. X; \; i
电子邮件:caxcai#126.com(请把#改为@)  caxcai#sohu.com(请把#改为@)
" Z$ X, E; M9 q7 D% o9 a7 e+ Y7 z$ S. G
网址:http://www.caxcai.cn7 K6 ^( I0 p) M2 B* ~, C0 J/ P
友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)2 h' b' U7 I2 ^

4 Q( H$ f2 k+ Z9 B# d! M- C; ~6 A' |" i
; ?9 Y4 K5 J# d" b, N
=============================================================8 i8 u" \2 r: C

4 e$ ]5 B! [) k$ P: I+ K- fAnsot Simplorer?是一个功能强大的跨学科多领域的高性能系统仿真软件,适合于进行电机、电力电子装置及系统、交直流传动、电源、电力系统、汽车部件、汽车电子与系统、航空、航天、船舶装置与控制系统、军事装备仿真。& w* |8 `' g$ O- Y3 Z$ |

9 _+ ?0 ~0 n8 @8 L9 C1 e5 O: n, Y6 P这是仿真软件SIMPLORER(R)的最新版本7.0.1,该软件主要用于汽车、航空/国防和工业自动化行业的大规模、多领域系统设计。SIMPLORER v7现在新增了功能强大的脚本接口、增强了优化设计和统计分析工具的功能,并扩展了VHDL-AMS和新模型参数化工具的功能。0 o4 b4 Q* a1 o! N# w8 G5 A6 L

+ G: }1 s% Q; l: MSimplorer: 强大的多领域复杂系统仿真软件包,包含机电元件、电子线路、控制算法在内的系统仿真和多种仿真算法,对机电驱动系统和电力电子系统仿真分析。9 s& z. S: ?/ O# Z5 |" v
, E) U* B6 J! X( g
Simplorer 7.0是一个功能强大的跨学科多领域的高性能系统仿真软件,适合于进行汽车电子、机电、电力电子和传动等领域的仿真。Simplorer 7.0包括一个全新的面向对象的求解器核与以及第二代模型描述语言。系统采用全新架构技术,使得Simplorer 7.0 对电力系统、电动和混合动力汽车等复杂系统的仿真能力得到极大提高。9 P% t! O- t% I7 \) S
8 X+ }9 e" o: D$ }; u2 }( @
  正如Ansoft公司创建者、董事长兼首席技术官Zoltan Cendes博士所言:“Simplorer软件所具有的同时高效处理电子、机电和电液等多工程领域问题的能力,为用户更加专注于技术进步,激发创新提供了强大动力。Simplorer 7.0在系统集成、模型集成、易用性等方面的突破更为工程技术人员实现成功目标提供了一条快车道。”" C7 u2 R) m6 \7 i; [% O7 {% }6 L
# O! E  h) B6 K( \) S
  不像常规仿真软件只局限于某一技术领域问题,例如电路或控制器,Simplorer 7.0提供的是一个多工程领域的一体化仿真解决方案。该解决方案将多个精密设计、适用于不同技术领域的仿真器集成于一体,包括电子线路、框图、高性能电机模型和适于数字及离散系统仿真的状态机,完全消除了其他仿真工具中不可避免的在不同物理领域之间进行复杂数学转换的繁琐过程,不同工程领域问题可以直接选择最适宜的建模语言进行建模和仿真。- V6 c; ?  N5 N, Y
! B8 k0 U( O" K4 P
  在Simplorer 7.0中可以非常方便地利用Ansoft Maxwell[$reg] 软件,C/C++等编程语言建立模型,并兼容SPICE模型。Simplorer 7.0的全新架构提供了集成国际电器与电子工程师协会(IEEE)最新采纳的VHDL-AMS描述语言的平台,并将在下一版本中完整包含这一最新的混合信号模型描述语言。. d4 V# ?! z5 `/ T# N4 @0 \. r1 w
) _. D; C. }+ @
  Simplorer强大的仿真耦合技术将非SPICE线路仿真技术、框图仿真技术和状态机仿真技术集成在同一软件之中。Simplorer仿真数据总线允许这些多工程领域模型同时进行仿真求解。同时Simplorer也提供了对外部仿真器的联合仿真接口,包括MATLAB[$reg]/Simulink[$reg],以及强大的数学引擎MathCAD[$reg]. 这些联合仿真接口基于Simplorer的开放程序接口,能够灵活地对现有其他软件进行集成
" }: A3 B  r' _+ |* o) a- L( m6 m9 P5 T' [! B2 u
; ^% ?+ k- p% P
对于如图所示的包含电网、变频器、电机、机械负载以及模拟反馈和控制的电机驱动与控制系统,在实际机电系统中具有典型的代表性,由于该系统涉及到多个物理领域,用传统设计分析软件设计分析时,由于受软件所能解决物理领域的限制,需要针对不同的部件和对应物理领域,采用不同的软件,由此带来诸多问题,主要表现在:
" _/ U, M$ I$ w& p7 F( Q
6 q5 y- U# L, l9 T' a# C3 }: ^1、 软件由不同厂商提供,价格优势除外,服务上潜在问题) L5 o3 ?# a9 F; x. ]( ^
  r% d( ~  \$ ^
2、 不同软件商之间的软件缺乏集成能力/ |7 N& j- E1 }6 H& u$ o& L
) p4 H( o+ M5 B- Z3 l
3、 单一软件受物理领域限制,只能解决一方面问题,无法对系统真正做到整体建模3 c' Z' a: P7 E9 I4 E7 H. D, c# _
7 u5 Z+ r- \! e8 y* p, N( z
4、 在一个物理领域问题等效到另一领域,建模必需做简化,建模困难,最后花大量精力建好模型得出结果也缺乏必要保证。+ ]  o+ s, R& h8 Q1 F, S

' V2 }; T$ X' z$ R2 |针对上述问题,Ansoft公司Simplorer软件从工程师的眼光出发,将电机与控制系统这一多物理领域问题规划为电子线路问题,控制器与控制算法问题,离散时间处理问题和数值计算问题,并相应开发了电子线路、框图、状态机和数值计算描述语言和求解器,并统一在Simplorer一个仿真平台上。同时,由于Ansoft长期以来在电机设计和电磁分析领域的经验和丰富产品,并将这些产品与Simplorer系统仿真平台有效连接,形成了完整的丛电机设计到系统仿真的解决方案。8 t0 O. F4 w6 p% h+ a2 J" B# n) ]

8 y: A# \* S) x9 ~针对电机和驱动系统的特殊应用,Simplorer具有丰富的模型库,包括完整的电力电子器件和线路模型库、电机与控制模型库包括集中参数、非线性参数以及基于有限元的精确模型、变压器模型库、电子元件模型库、机械元件模型库、控制算法和框图模型库等等,极大的方便了电机系统仿真。
- z3 I! {. V) E$ V$ S7 f! V! R# H$ ~% E+ j
其它主要特性还包括:9 Q% a- |: S- h& C3 P' ^

. a; @3 I+ ?6 g+ ]完善的控制框图元件和系统动态特性评估工具
" Y! H- k+ a0 @- Z1 d! G完善的机械元件和联轴器
" {4 S# Z7 i$ u/ FC/C++编程接口提供了方便的用户自定义模型功能/ S: |/ b4 X. ~: F! s! I
协同能力; T; }8 u9 L# }3 G  D5 Z
Maxwell 电磁场- W9 G* E/ I- R' i: L" M0 ~, a  d- ]
Matlab/Simulink1 w. ^  L% U8 a8 u
MathCad( J: E2 ^: v$ L8 R/ ]
结果后处理、参数分析和优化5 ]  C7 Y7 G. X$ b/ f
图形及数值结果分析
4 {- _( \9 K6 {* ?# h  MExcel兼容表格处理
& [3 U, @0 _  ^  {2 b7 [通道计算器
4 M+ g! R% M2 U演示模板
9 H. o" ~4 B3 c+ I. J! VGPIB 数据接口方便数据采集' g, c# Q0 d. `) ?3 J. d4 O, X5 d- t
谐波分析FFT
3 Q9 @: a' N$ b$ x$ C1 ]蒙特卡洛分析7 T2 i" i- l; W& C$ \& i* V# X5 r
趋势分析
! U# g$ s& E  l+ |, \( H( g* p连续逼近
6 \1 C8 ]8 T9 Z8 L; \2 U; H极端分析
  a/ m7 }, m7 Q$ S. D单纯形法、遗传算法优化设计
1 B7 U: K* j4 [9 Z. [. `强大的原理图功能& C4 Z, \( ], s# t1 n8 {: R) c
多页图形
5 h- L5 c. _. x: E动态元件技术. H* \7 |! I/ x' T/ z8 @9 j
形象的符号- D/ O  ?; L' X- t0 Z7 g
在线结果显示  {6 g  G5 F' o- |* I9 c0 M. x
子图/宏功能" F* c9 z' r& h8 x- q+ L+ U  t
用户加密元件库& `% `7 V( u- i! I: C- [: E( Q9 |6 Z
符号编辑器1 w0 m5 n: S3 y
Excel 以及Word兼容
8 g8 n, P; N0 X; y4 }优异的算法
3 u- g; f, q+ @# z* B3 i( F快速并且数值稳定& P; w" f3 R5 U: g  S
高级的非SPICE仿真器
0 T& c% o3 c& r$ o' v* A
" v$ t+ A* k' r" i0 q1 p: I, |结论:1 M! U$ N' F& w3 B

. I/ L+ }! P( X6 X' L# A基于上述特性,利用Simplorer及Ansoft相应的软件解决方案,可以简便、快速、准确地完成机电系统从元部件设计到系统设计、仿真、优化的整个过程,实现传统设计流程的现代化,提高设计水平和精度,最大限度的减少制作样机的次数,缩短开发周期,降低开发成本,有利于在激烈竞争中脱颖而出
3 G6 D% A: H+ }: F! \
5 c6 O2 N  p8 PAnsoft 产品市场部经理Mark Ravenstahl曾发表言论:“SIMPLORER已经在汽车、航空/国防工业领域占有了相当大的市场,因为它能满足那些涉及到复杂、多领域工程的系统对精度、可行性和灵活性的要求。SIMPLORER v7集创新与改进于一体,极大地缩短建模时间、减少反复修改物理模型的过程和降低成本,这些就是Ansoft极力为所有客户创造的利益所在。”. ^0 Q- l. L4 T5 m6 F0 g" L- B' P, W

0 r+ C3 p. [  ?" DSIMPLORER v7的可行性特性主要体现在其新增的脚本接口,该接口可以帮助使用者将SIMPLORER集成到已有的设计流程中,而其增强的统计分析功能则允许使用者在生产前进行设计的优化和设计公差的验证。SIMPLORER的新模型开发向导主要用于创建C-模型、VHDL-AMS模型和元件对话框,而VHDL-AMS功能(按IEEE 1076.1标准)则已通过优化模型、改进仿真效率和一个建模向导进行了扩展,使工程师们不用学习语法就能使用IEEE语言。此外,SIMPLORER还新增了一个具有276个元件的传感器模型库,并在SIMPLORER和Ansoft’s Maxwell(R)有限元分析(FEA)产品之间建立了一种暂态耦合连接。
2 B. t8 q- o+ H7 p& c6 [
/ s5 t/ J1 _+ I( T& G2 E( V' VSIMPLORER v7的显著特性包括:
. U1 y5 |* ]: A: G8 q& q 新增的脚本接口
: N" a$ O# X  e- N, J5 U+ C* c 新增的优化和统计分析工具
# @8 F; b, G" B6 Q: P- ~" ] 创建C-模型、VHDL-AMS模型和元件对话框的向导
7 ?! R9 _" X2 _$ ^) m' Z- ?" n 扩展的VHDL-AMS功能
9 A8 T" M* F0 {$ T) H4 A/ O' u' h 新增的传感器模型库(作为附加产品出售)
& R1 x! a! C, e8 Z4 i SIMPLORER和Maxwell FEA之间的暂态耦合连接
+ O4 u$ y# N  p, }7 K' H
- K1 X8 v# S/ ^6 c4 e, R$ O9 r2 l+ y* p5 X8 V- j1 T  A* _1 @9 n1 H  Y
::::::English Description::::::" W6 W) o" n: V, H- L+ n7 O

1 Z' B5 n# o# T0 H/ C% N4 i) l
' ?+ t+ K$ P& x0 s$ S6 DSimplorer?is multi-domain, system simulation software that creates an electromechanical systems-design laboratory on your desktop. The software features powerful model-generation tools, model libraries, co-simulation capability, and VHDL-AMS modeling techniques. 7 d7 v( s, I& ^* v
  P# T# R2 d. M' r5 ^6 `2 m6 e
Simplorer’s unique simulator coupling and co-simulation technologies utilize a data exchange backbone, which auto-interactively selects optimum simulators with numerical algorithms specifically tuned for the multi-domain nature of electromechanical systems. These technologies allow users to create models across domains, at different levels of abstraction, and simulate complex electromechanical systems quickly and easily. , M( U, `# N  S

; ~4 B6 c0 j* J9 ?  bSimplorer includes a fast and numerically stable circuit simulator, a block-diagram system simulator for signal analysis and control design, and an event-driven state-machine simulator for discontinuous processes. Simplorer also incorporates VHDL-AMS, the IEEE industry-standard modeling language for analog, digital, mixed-signal, and multi-domain systems.
% L, I  T; \1 d" M- [& `' r
! E' T/ q; J' R6 T, L" c- J$ O# F6 w- `) t- _2 ^( P. g9 q( {
- O1 N* w8 s+ d
Simplorer?is multi-domain, system simulation software for the design of high-performance electromechanical systems commonly found in the automotive, aerospace/defense, and industrial automation industries.
+ x8 f. q7 o- A" n" g" B$ i0 C
! i2 j$ b2 q) i) CWith a wide range of modeling techniques, statistical analysis capability and adherence to IEEE standards, Simplorer greatly reduces engineering time and prototype iterations while improving design performance of electrical, mechatronic, power-electronic, and electromechanical systems. ; a- u& }: b" y- |7 G
& Y# x: y3 i8 W* \' w1 \
New in Simplorer v7 * R6 h* X2 V5 j" F/ b8 P
7 ]$ @; H; ^( B8 ~8 p- w6 N
? Optimization and statistical analyses : v! m( H. T' P  I- u5 Q
? Scripting interface 6 F1 E" @# I3 Z5 L/ D. `3 V
? Wizards (C, VHDL-AMS, and component models) 2 _& I3 s/ O6 D% T' s% y8 u
? Expanded VHDL-AMS functionality - r" h* Y  x" H3 K: {; ?  s+ u+ U6 k
  
9 n- s  B+ v  h+ S5 l? Sensor Model Library (cost option)
  w9 B1 Q/ S  f  E/ J$ `. @" V5 o? Transient coupling between Simplorer and Maxwell?2D
; V* ?" S0 d' J3 F1 ~? VHDL-AMS Model Encryption
' P4 u* {& I3 a) ?3 i? Users of other VHDL-AMS simulators can encrypt and pass models to Simplorer?without revealing underlying IP  0 Y0 \6 E+ [  P
. \" b& h4 V, U! Y- U. r4 n, L

- o/ A0 p* |$ k=============================================================
* o% E3 I4 b5 G! {' S2 q% n收取一定费用后给您提供CAD软件下载,所有软件可远程测试,试看。
% s0 b: Z0 Y; }& u6 x  Q: I2 t+ r' d9 ?+ j+ s
QQ:394623568   9 A6 t8 z7 e4 v9 }+ b
MSN: caxcai@hotmail.com 4 b8 T) m! C! Z2 D1 B5 W
电子邮件:caxcai#126.com(请把#改为@)  caxcai#sohu.com(请把#改为@)
; R! x* t( U5 G! V8 x! H# l5 r
! W' O7 x9 B7 B网址:http://www.caxcai.cn
9 N7 M1 m% O. K4 j/ F( _/ U/ ?友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)6 K" r- M' E  D+ \7 L; c) a

3 W7 A  |. F. L9 B+ I% S& m+ y$ M0 Y% C: l2 [
8 w9 h. L* O/ Y/ W7 ]8 A0 i
=============================================================
"真诚赞赏,手留余香"
还没有人打赏,支持一下
楼主热帖
帖文化:【文明发帖 和谐互动】 社区精神:【创新、交流、互助、共享】
您需要登录后才可以回帖 登录 | 立即加入

本版积分规则

招聘斑竹

小黑屋|手机版|APP下载(beta)|Archiver|电力研学网 ( 赣ICP备12000811号-1|赣公网安备36040302000210号 )|网站地图

GMT+8, 2024-5-2 20:45

Powered by Discuz! X3.5 Licensed

© 2001-2024 Discuz! Team.

快速回复 返回顶部 返回列表