设为首页收藏本站|繁體中文 快速切换版块

 找回密码
 立即加入
搜索
查看: 1613|回复: 0

Ansoft SIMPLORER 7.0.5 复杂系统仿真软件包

[复制链接]

该用户从未签到

尚未签到

发表于 2008-2-19 22:12:42 | 显示全部楼层 |阅读模式
文档文案
文档文件名称: 复杂系统仿真软件包
内容简介: 复杂系统仿真软件包
所属专业方向: 电子
文件类型: 电子电力
来源: 原创

马上加入,结交更多好友,共享更多资料,让你轻松玩转电力研学社区!

您需要 登录 才可以下载或查看,没有账号?立即加入

×
Ansoft SIMPLORER 7.0.5 复杂系统仿真软件包
) ?" z; V' x4 O8 M, ?软件大小:773 MB / e2 P2 z0 ]1 X* a- k2 O
软件语言:英文 ! {$ ]: X: g5 L
软件类型:国外软件/商业软件   r: o! W9 j* V1 E
运行环境:/WinNT/2000/XP
* X: K" Y% z- V' d3 [
2 Q. m% B2 M  Q' P=============================================================
: d: F) r  N, |& ?& x" B: [1 h收取一定费用后给您提供CAD软件下载,所有软件可远程测试,试看。5 l; [6 k( G9 ?0 k; y

! ?2 B. {8 Q2 E/ h$ a+ e# o3 A4 [+ cQQ:394623568   
+ f% M1 \5 t5 Z. FMSN: caxcai@hotmail.com
" [. U7 J* [/ K3 U% w- F; h3 B电子邮件:caxcai#126.com(请把#改为@)  caxcai#sohu.com(请把#改为@)
/ ?4 l4 f+ x* C: ]6 ]' {  R% j$ t4 |) h7 X! @5 N- m1 a+ V  V
网址:http://www.caxcai.cn
) f& R- \0 a! [  E/ v友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)
* J, j# W7 f1 D6 L& H: o: @1 ?& n" d( M

& O9 }% k& u) f4 X$ G0 c+ A  w+ B; `9 H
=============================================================8 F6 P/ D$ @6 C, z$ U8 v

, O' B# C( [' \  o( e6 wAnsot Simplorer?是一个功能强大的跨学科多领域的高性能系统仿真软件,适合于进行电机、电力电子装置及系统、交直流传动、电源、电力系统、汽车部件、汽车电子与系统、航空、航天、船舶装置与控制系统、军事装备仿真。. y8 T5 y1 _% X, N9 N5 H$ c9 J; ]
# J' V. {$ u- V+ h
这是仿真软件SIMPLORER(R)的最新版本7.0.1,该软件主要用于汽车、航空/国防和工业自动化行业的大规模、多领域系统设计。SIMPLORER v7现在新增了功能强大的脚本接口、增强了优化设计和统计分析工具的功能,并扩展了VHDL-AMS和新模型参数化工具的功能。
; Z- R7 z- {: \# j4 r. h( l
6 C4 A& k1 B4 \3 gSimplorer: 强大的多领域复杂系统仿真软件包,包含机电元件、电子线路、控制算法在内的系统仿真和多种仿真算法,对机电驱动系统和电力电子系统仿真分析。
3 z' X: {- i/ Z5 U2 M8 \3 g( j- t
  k$ I. b* s0 S) N& d/ U8 s; s4 kSimplorer 7.0是一个功能强大的跨学科多领域的高性能系统仿真软件,适合于进行汽车电子、机电、电力电子和传动等领域的仿真。Simplorer 7.0包括一个全新的面向对象的求解器核与以及第二代模型描述语言。系统采用全新架构技术,使得Simplorer 7.0 对电力系统、电动和混合动力汽车等复杂系统的仿真能力得到极大提高。
% |& e. S5 W) }% A! l& y* h8 H
. A2 E# X0 V. h+ f7 M9 h  正如Ansoft公司创建者、董事长兼首席技术官Zoltan Cendes博士所言:“Simplorer软件所具有的同时高效处理电子、机电和电液等多工程领域问题的能力,为用户更加专注于技术进步,激发创新提供了强大动力。Simplorer 7.0在系统集成、模型集成、易用性等方面的突破更为工程技术人员实现成功目标提供了一条快车道。”
; e2 o& B) q! M6 N2 K
8 u, ~" c3 t6 p3 _/ O0 ]# @  不像常规仿真软件只局限于某一技术领域问题,例如电路或控制器,Simplorer 7.0提供的是一个多工程领域的一体化仿真解决方案。该解决方案将多个精密设计、适用于不同技术领域的仿真器集成于一体,包括电子线路、框图、高性能电机模型和适于数字及离散系统仿真的状态机,完全消除了其他仿真工具中不可避免的在不同物理领域之间进行复杂数学转换的繁琐过程,不同工程领域问题可以直接选择最适宜的建模语言进行建模和仿真。# `: D1 P$ r: s+ ~( Q
/ d# `2 [  p9 k# e9 p
  在Simplorer 7.0中可以非常方便地利用Ansoft Maxwell[$reg] 软件,C/C++等编程语言建立模型,并兼容SPICE模型。Simplorer 7.0的全新架构提供了集成国际电器与电子工程师协会(IEEE)最新采纳的VHDL-AMS描述语言的平台,并将在下一版本中完整包含这一最新的混合信号模型描述语言。
# C3 c$ ^1 p% d6 Z, Z$ Z& g: r# l$ Q/ k* W- R
  Simplorer强大的仿真耦合技术将非SPICE线路仿真技术、框图仿真技术和状态机仿真技术集成在同一软件之中。Simplorer仿真数据总线允许这些多工程领域模型同时进行仿真求解。同时Simplorer也提供了对外部仿真器的联合仿真接口,包括MATLAB[$reg]/Simulink[$reg],以及强大的数学引擎MathCAD[$reg]. 这些联合仿真接口基于Simplorer的开放程序接口,能够灵活地对现有其他软件进行集成: d- Z! b' j0 a# y9 c/ b# e5 ?( ?

3 n  V. x/ k# o# G) {3 ?
7 K- _1 V/ y0 J0 v. x对于如图所示的包含电网、变频器、电机、机械负载以及模拟反馈和控制的电机驱动与控制系统,在实际机电系统中具有典型的代表性,由于该系统涉及到多个物理领域,用传统设计分析软件设计分析时,由于受软件所能解决物理领域的限制,需要针对不同的部件和对应物理领域,采用不同的软件,由此带来诸多问题,主要表现在:
( i2 c0 I5 n( s# w0 m9 d. N/ x& Q" O
1、 软件由不同厂商提供,价格优势除外,服务上潜在问题
; I8 a  ]  R) O$ D4 O
( m4 i6 C" z0 K4 s/ v1 @2、 不同软件商之间的软件缺乏集成能力
7 i( H5 _/ e# W6 S4 M5 P. F3 p( _/ C1 ~! C" W) |6 }! B. ~) o3 [; P$ F
3、 单一软件受物理领域限制,只能解决一方面问题,无法对系统真正做到整体建模" X6 z$ y% [! f: ~% u

+ r/ ?/ {6 e$ s9 O3 U4、 在一个物理领域问题等效到另一领域,建模必需做简化,建模困难,最后花大量精力建好模型得出结果也缺乏必要保证。# P8 o  p  w# J. w5 f; O
# b% k# j" S; G/ m& `
针对上述问题,Ansoft公司Simplorer软件从工程师的眼光出发,将电机与控制系统这一多物理领域问题规划为电子线路问题,控制器与控制算法问题,离散时间处理问题和数值计算问题,并相应开发了电子线路、框图、状态机和数值计算描述语言和求解器,并统一在Simplorer一个仿真平台上。同时,由于Ansoft长期以来在电机设计和电磁分析领域的经验和丰富产品,并将这些产品与Simplorer系统仿真平台有效连接,形成了完整的丛电机设计到系统仿真的解决方案。
  ?6 N. L# W9 F7 i" R: `# d& l" O, J/ \
针对电机和驱动系统的特殊应用,Simplorer具有丰富的模型库,包括完整的电力电子器件和线路模型库、电机与控制模型库包括集中参数、非线性参数以及基于有限元的精确模型、变压器模型库、电子元件模型库、机械元件模型库、控制算法和框图模型库等等,极大的方便了电机系统仿真。
. k1 c. O3 b+ V2 T) N# x( E. a8 d
其它主要特性还包括:
5 a; E# n: \- H9 D6 Y9 y& A  K$ k+ L8 I8 a/ I
完善的控制框图元件和系统动态特性评估工具- R" u  G8 }8 g% d7 f; t
完善的机械元件和联轴器9 w& j+ l0 j0 M2 [1 F3 _% T9 F
C/C++编程接口提供了方便的用户自定义模型功能* x$ F0 R1 s! q: y$ g8 E
协同能力& U, e# @* B, g$ X, ]- e
Maxwell 电磁场. v9 E0 _, d) l  h, {/ z7 b& W4 S
Matlab/Simulink
- g* j) Z3 e* ]1 T; @" K: R! TMathCad
$ N* q& d. p  K0 Q+ \, Y结果后处理、参数分析和优化/ r0 N& G# K# b& ]& H" C
图形及数值结果分析
, O2 U! U, ^0 P: M- c' D4 D* N% QExcel兼容表格处理: {) f( T4 D0 o; e* E1 ]* a
通道计算器
! l7 P! E1 I- l8 v# w  z! N! t演示模板6 t% J- i& o- F8 {2 i
GPIB 数据接口方便数据采集) v4 J' N9 q8 a1 R
谐波分析FFT
5 C* `. W8 z' O, Y+ A. Z蒙特卡洛分析
3 K( A" t6 T5 V趋势分析
* t" M% k8 S" g$ t2 s2 S4 c. `& @连续逼近3 J/ P  a; K9 E
极端分析
/ ^2 I" M, e3 E2 o! J单纯形法、遗传算法优化设计" o1 X. q* H) a' @0 h, P
强大的原理图功能
3 n5 u: P. ^- Q8 `' S多页图形
. w' V* `7 o/ D) ]& l) r9 v动态元件技术
# g, o3 S. Q5 n$ O3 v% C$ y形象的符号- R) k" a- D. T
在线结果显示. a6 k, i5 x( [4 y& ?
子图/宏功能0 N7 G9 N. w5 ~, [! r5 [
用户加密元件库8 f' I% T& A1 y6 h. ?* }0 b
符号编辑器" J+ t  \6 J2 S& }8 A/ k( L. ~0 I0 T
Excel 以及Word兼容
  f0 K  v8 c+ m( r/ x) k优异的算法
2 k& E. Q6 c1 K快速并且数值稳定
3 I; M# F! u+ q+ @高级的非SPICE仿真器
1 t" o+ B: B. Q8 M9 m6 Z) J* A7 p  {
结论:
9 S% c; H: b; a& {1 X* ^
, K: S+ O0 U5 L  n( o基于上述特性,利用Simplorer及Ansoft相应的软件解决方案,可以简便、快速、准确地完成机电系统从元部件设计到系统设计、仿真、优化的整个过程,实现传统设计流程的现代化,提高设计水平和精度,最大限度的减少制作样机的次数,缩短开发周期,降低开发成本,有利于在激烈竞争中脱颖而出
; `9 B5 [# L; S+ X
" |6 Q8 G, R8 Q, ~1 D" @Ansoft 产品市场部经理Mark Ravenstahl曾发表言论:“SIMPLORER已经在汽车、航空/国防工业领域占有了相当大的市场,因为它能满足那些涉及到复杂、多领域工程的系统对精度、可行性和灵活性的要求。SIMPLORER v7集创新与改进于一体,极大地缩短建模时间、减少反复修改物理模型的过程和降低成本,这些就是Ansoft极力为所有客户创造的利益所在。”
, p- m, I* h5 o: j! U6 l4 t% M& t6 f* l
SIMPLORER v7的可行性特性主要体现在其新增的脚本接口,该接口可以帮助使用者将SIMPLORER集成到已有的设计流程中,而其增强的统计分析功能则允许使用者在生产前进行设计的优化和设计公差的验证。SIMPLORER的新模型开发向导主要用于创建C-模型、VHDL-AMS模型和元件对话框,而VHDL-AMS功能(按IEEE 1076.1标准)则已通过优化模型、改进仿真效率和一个建模向导进行了扩展,使工程师们不用学习语法就能使用IEEE语言。此外,SIMPLORER还新增了一个具有276个元件的传感器模型库,并在SIMPLORER和Ansoft’s Maxwell(R)有限元分析(FEA)产品之间建立了一种暂态耦合连接。
  I1 U, G8 d4 C7 [" |0 i  I
: h- r/ q  {& S. l# u" mSIMPLORER v7的显著特性包括: : M) x5 ]( j( t+ h( E
新增的脚本接口
' _$ F  H% \% o) B% P# \7 U 新增的优化和统计分析工具 - |. L4 f. F& s: D0 q' |! T
创建C-模型、VHDL-AMS模型和元件对话框的向导 - V2 [; G' r; p$ Q5 D3 h# J# N
扩展的VHDL-AMS功能 7 M  X4 M/ u. \: K" A1 {% u  b
新增的传感器模型库(作为附加产品出售) 8 h3 a5 y% ?" Y2 }. p
SIMPLORER和Maxwell FEA之间的暂态耦合连接 : m  h# e" o9 i/ ]9 Q; T" w. Z
, z7 I2 K' Y# H3 F2 s: N

1 c5 ^& V, V! q. J, ~, x! E. z% Z::::::English Description::::::! R4 ?" O0 ]/ v4 z. Y8 G- O. E. f# q* L- L
- J% F* k& l$ d8 G, U5 q  `

* m7 S5 p- g0 ?4 Z/ e5 ISimplorer?is multi-domain, system simulation software that creates an electromechanical systems-design laboratory on your desktop. The software features powerful model-generation tools, model libraries, co-simulation capability, and VHDL-AMS modeling techniques. 8 x1 A, D) {1 a+ t+ r
9 r- S8 p  j+ \- S" d- V8 V' s
Simplorer’s unique simulator coupling and co-simulation technologies utilize a data exchange backbone, which auto-interactively selects optimum simulators with numerical algorithms specifically tuned for the multi-domain nature of electromechanical systems. These technologies allow users to create models across domains, at different levels of abstraction, and simulate complex electromechanical systems quickly and easily. 6 a- ~2 a- o" U* X' ]2 f2 A- M; g
6 g% ?8 c8 |5 `( v; ~4 O% ^7 a
Simplorer includes a fast and numerically stable circuit simulator, a block-diagram system simulator for signal analysis and control design, and an event-driven state-machine simulator for discontinuous processes. Simplorer also incorporates VHDL-AMS, the IEEE industry-standard modeling language for analog, digital, mixed-signal, and multi-domain systems. + h6 ?% M3 y$ Q7 o# L* V; E5 O

1 R$ G$ l: G3 L4 a9 [! X. z* ~) z. q0 L, ^5 Q) d, {

: `7 u+ s1 |' y6 P0 Z; A Simplorer?is multi-domain, system simulation software for the design of high-performance electromechanical systems commonly found in the automotive, aerospace/defense, and industrial automation industries.
7 i) I+ x" r# _3 L$ j0 S4 s: W3 ^$ d; Y4 ^, h: p/ ^( X& v1 J
With a wide range of modeling techniques, statistical analysis capability and adherence to IEEE standards, Simplorer greatly reduces engineering time and prototype iterations while improving design performance of electrical, mechatronic, power-electronic, and electromechanical systems.
4 V; e+ M9 l) X
4 z: n: u( D* C5 t5 LNew in Simplorer v7
) f7 ?5 N  I  _( b
" N; m7 {0 t% E( U? Optimization and statistical analyses ) M7 y0 y' l1 u# O5 S
? Scripting interface 1 A$ C* M: n9 d- d% q6 ^0 X
? Wizards (C, VHDL-AMS, and component models) 6 f- |1 v3 j# f- R. B; _
? Expanded VHDL-AMS functionality
- j, U- ^- f( Z+ B" V/ }  
  Q, d  j; m# Y, k; a? Sensor Model Library (cost option) % _$ ~$ G* y7 `9 F
? Transient coupling between Simplorer and Maxwell?2D ) h5 [1 q1 T" n" R
? VHDL-AMS Model Encryption" k5 }9 C3 ^) P9 q$ `* S& r4 i
? Users of other VHDL-AMS simulators can encrypt and pass models to Simplorer?without revealing underlying IP  
# t! x9 a. b* N% l; c: C" n / E" o; H) C  a/ p  m2 M, r4 C- ~

* W8 |0 _% r/ C& D4 i# d=============================================================
) f7 m3 D9 V$ I( w9 b收取一定费用后给您提供CAD软件下载,所有软件可远程测试,试看。. _( x5 V. s) o% D

  ]$ a4 z7 w; M2 l7 X  G$ JQQ:394623568   ; u2 c7 }7 P: w" b+ Y
MSN: caxcai@hotmail.com 8 g- X3 a  f/ d! N( O
电子邮件:caxcai#126.com(请把#改为@)  caxcai#sohu.com(请把#改为@)4 K" y2 C- M( v6 v  D

2 _. @5 x3 P6 o' g7 F8 R网址:http://www.caxcai.cn, C% U* l; J3 ]! t9 W
友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)( @: \4 b! b/ M% D$ Y

9 i7 w+ S1 D9 L
$ p& m5 y% f2 V9 p) s
! }% S4 _# C9 v=============================================================
"真诚赞赏,手留余香"
还没有人打赏,支持一下
楼主热帖
帖文化:【文明发帖 和谐互动】 社区精神:【创新、交流、互助、共享】
您需要登录后才可以回帖 登录 | 立即加入

本版积分规则

招聘斑竹

小黑屋|手机版|APP下载(beta)|Archiver|电力研学网 ( 赣ICP备12000811号-1|赣公网安备36040302000210号 )|网站地图

GMT+8, 2024-6-6 21:36

Powered by Discuz! X3.5 Licensed

© 2001-2024 Discuz! Team.

快速回复 返回顶部 返回列表